blob: 80aa8db0fdf697b3a5ffabfab2e95190bb3f69a2 [file] [log] [blame]
Use descendant invalidation set for :link pseudo class.
On success, you will see a series of "PASS" messages, followed by "TEST COMPLETE".
PASS internals.computedStyleIncludingVisitedInfo(match).backgroundColor is red
PASS internals.updateStyleAndReturnAffectedElementCount() is 5
PASS internals.computedStyleIncludingVisitedInfo(match).backgroundColor is green
PASS successfullyParsed is true
TEST COMPLETE