blob: defddf5957eee02b55b27b6119523998bf24ae51 [file] [log] [blame]
/* SPDX-License-Identifier: GPL-2.0 */
static uint32_t gt215_pmu_data[] = {
/* 0x0000: proc_kern */
0x52544e49,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x0058: proc_list_head */
0x54534f48,
0x0000050a,
0x000004a7,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x584d454d,
0x00000833,
0x00000825,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x46524550,
0x00000837,
0x00000835,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x5f433249,
0x00000c67,
0x00000b0a,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x54534554,
0x00000c90,
0x00000c69,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x454c4449,
0x00000c9c,
0x00000c9a,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x0268: proc_list_tail */
/* 0x0268: time_prev */
0x00000000,
/* 0x026c: time_next */
0x00000000,
/* 0x0270: fifo_queue */
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x02f0: rfifo_queue */
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x0370: memx_func_head */
0x00000001,
0x00000000,
0x00000549,
/* 0x037c: memx_func_next */
0x00000002,
0x00000000,
0x0000059f,
0x00000003,
0x00000002,
0x0000062f,
0x00040004,
0x00000000,
0x0000064b,
0x00010005,
0x00000000,
0x00000668,
0x00010006,
0x00000000,
0x000005ef,
0x00000007,
0x00000000,
0x00000673,
/* 0x03c4: memx_func_tail */
/* 0x03c4: memx_ts_start */
0x00000000,
/* 0x03c8: memx_ts_end */
0x00000000,
/* 0x03cc: memx_data_head */
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x0bcc: memx_data_tail */
/* 0x0bcc: memx_train_head */
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
/* 0x0ccc: memx_train_tail */
/* 0x0ccc: i2c_scl_map */
0x00001000,
0x00004000,
0x00010000,
0x00000100,
0x00040000,
0x00100000,
0x00400000,
0x01000000,
0x04000000,
0x10000000,
/* 0x0cf4: i2c_sda_map */
0x00002000,
0x00008000,
0x00020000,
0x00000200,
0x00080000,
0x00200000,
0x00800000,
0x02000000,
0x08000000,
0x20000000,
/* 0x0d1c: i2c_ctrl */
0x0000e138,
0x0000e150,
0x0000e168,
0x0000e180,
0x0000e254,
0x0000e274,
0x0000e764,
0x0000e780,
0x0000e79c,
0x0000e7b8,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
};
static uint32_t gt215_pmu_code[] = {
0x03920ef5,
/* 0x0004: rd32 */
0x07a007f1,
0xd00604b6,
0x04bd000e,
0x0001d7f1,
0xf101d3f0,
0xb607ac07,
0x0dd00604,
/* 0x0023: rd32_wait */
0xf104bd00,
0xb607acd7,
0xddcf06d4,
0x00d4f100,
0xf21bf470,
0x07a4d7f1,
0xcf06d4b6,
0x00f800dd,
/* 0x0040: wr32 */
0x07a007f1,
0xd00604b6,
0x04bd000e,
0x07a407f1,
0xd00604b6,
0x04bd000d,
0x00f2d7f1,
0xf101d3f0,
0xb607ac07,
0x0dd00604,
/* 0x006b: wr32_wait */
0xf104bd00,
0xb607acd7,
0xddcf06d4,
0x00d4f100,
0xf21bf470,
/* 0x007e: nsec */
0x90f900f8,
0x87f080f9,
0x0684b62c,
/* 0x008b: nsec_loop */
0xf00088cf,
0x94b62c97,
0x0099cf06,
0xb80298bb,
0x1ef4069e,
0xfc80fcf1,
/* 0x00a3: wait */
0xf900f890,
0xf080f990,
0x84b62c87,
0x0088cf06,
/* 0x00b0: wait_loop */
0xf402eeb9,
0xdab90421,
0x04adfd02,
0xf406acb8,
0x97f0150b,
0x0694b62c,
0xbb0099cf,
0x9bb80298,
0xdf1ef406,
/* 0x00d4: wait_done */
0x90fc80fc,
/* 0x00da: intr_watchdog */
0xe99800f8,
0x0096b003,
0x982a0bf4,
0x9abb9a0a,
0x0f1cf402,
0xf501d7f0,
0xbd02d121,
0x150ef494,
/* 0x00f8: intr_watchdog_next_time */
0xb09b0a98,
0x0bf400a6,
0x069ab809,
/* 0x0107: intr_watchdog_next_time_set */
0x80061cf4,
/* 0x010a: intr_watchdog_next_proc */
0xe9809b09,
0x58e0b603,
0x0268e6b1,
0xf8c61bf4,
/* 0x0119: intr */
0xbd00f900,
0xf980f904,
0xf9a0f990,
0xf9c0f9b0,
0xf9e0f9d0,
0x00f7f0f0,
0xf90188fe,
0xd087f180,
0x0684b605,
0xb60088cf,
0x07f10180,
0x04b605d0,
0x0008d006,
0x87f004bd,
0x0684b608,
0xc40088cf,
0x0bf40289,
0x9b008023,
0xf458e7f0,
0x0998da21,
0x0096b09b,
0xf0110bf4,
0x04b63407,
0x0009d006,
0x098004bd,
/* 0x017d: intr_skip_watchdog */
0x0089e49a,
0x480bf408,
0x068897f1,
0xcf0694b6,
0x9ac40099,
0x2c0bf402,
0x04c0c7f1,
0xcf06c4b6,
0xc0f900cc,
0x4f48e7f1,
0x5453e3f1,
0xf500d7f0,
0xfc033621,
0xc007f1c0,
0x0604b604,
0xbd000cd0,
/* 0x01bd: intr_subintr_skip_fifo */
0x8807f104,
0x0604b606,
0xbd0009d0,
/* 0x01c9: intr_skip_subintr */
0xe097f104,
0xfd90bd00,
0x07f00489,
0x0604b604,
0xbd0008d0,
0xfe80fc04,
0xf0fc0088,
0xd0fce0fc,
0xb0fcc0fc,
0x90fca0fc,
0x00fc80fc,
0xf80032f4,
/* 0x01f9: ticks_from_ns */
0xf9c0f901,
0xcbd7f1b0,
0x00d3f000,
0x040b21f5,
0x03e8ccec,
0xf400b4b0,
0xeeec120b,
0xd7f103e8,
0xd3f000cb,
0x0b21f500,
/* 0x0221: ticks_from_ns_quit */
0x02ceb904,
0xc0fcb0fc,
/* 0x022a: ticks_from_us */
0xc0f900f8,
0xd7f1b0f9,
0xd3f000cb,
0x0b21f500,
0x02ceb904,
0xf400b4b0,
0xe4bd050b,
/* 0x0244: ticks_from_us_quit */
0xc0fcb0fc,
/* 0x024a: ticks_to_us */
0xd7f100f8,
0xd3f000cb,
0xecedff00,
/* 0x0256: timer */
0x90f900f8,
0x32f480f9,
0x03f89810,
0xf40086b0,
0x84bd651c,
0xb63807f0,
0x08d00604,
0xf004bd00,
0x84b63487,
0x0088cf06,
0xbb9a0998,
0xe9bb0298,
0x03fe8000,
0xb60887f0,
0x88cf0684,
0x0284f000,
0xf0261bf4,
0x84b63487,
0x0088cf06,
0xf406e0b8,
0xe8b8090b,
0x111cf406,
/* 0x02ac: timer_reset */
0xb63407f0,
0x0ed00604,
0x8004bd00,
/* 0x02ba: timer_enable */
0x87f09a0e,
0x3807f001,
0xd00604b6,
0x04bd0008,
/* 0x02c8: timer_done */
0xfc1031f4,
0xf890fc80,
/* 0x02d1: send_proc */
0xf980f900,
0x05e89890,
0xf004e998,
0x89b80486,
0x2a0bf406,
0x940398c4,
0x80b60488,
0x008ebb18,
0x8000fa98,
0x8d80008a,
0x028c8001,
0xb6038b80,
0x94f00190,
0x04e98007,
/* 0x030b: send_done */
0xfc0231f4,
0xf880fc90,
/* 0x0311: find */
0xf080f900,
0x31f45887,
/* 0x0319: find_loop */
0x008a9801,
0xf406aeb8,
0x80b6100b,
0x6886b158,
0xf01bf402,
/* 0x032f: find_done */
0xb90132f4,
0x80fc028e,
/* 0x0336: send */
0x21f500f8,
0x01f40311,
/* 0x033f: recv */
0xf900f897,
0x9880f990,
0xe99805e8,
0x0132f404,
0xf40689b8,
0x89c43d0b,
0x0180b603,
0x800784f0,
0xea9805e8,
0xfef0f902,
0xf0f9018f,
0x9402efb9,
0xe9bb0499,
0x18e0b600,
0x9803eb98,
0xed9802ec,
0x00ee9801,
0xf0fca5f9,
0xf400f8fe,
0xf0fc0131,
/* 0x038c: recv_done */
0x90fc80fc,
/* 0x0392: init */
0x17f100f8,
0x14b60108,
0x0011cf06,
0x010911e7,
0xfe0814b6,
0x17f10014,
0x13f000e0,
0x1c07f000,
0xd00604b6,
0x04bd0001,
0xf0ff17f0,
0x04b61407,
0x0001d006,
0x17f004bd,
0x0015f102,
0x1007f008,
0xd00604b6,
0x04bd0001,
0x011917f1,
0xf10013f0,
0xfeffff14,
0x31f40010,
0x0117f010,
0xb63807f0,
0x01d00604,
0xf004bd00,
/* 0x03fa: init_proc */
0xf19858f7,
0x0016b001,
0xf9fa0bf4,
0x58f0b615,
/* 0x040b: mulu32_32_64 */
0xf9f20ef4,
0xf920f910,
0x9540f930,
0xd29510e1,
0xbdc4bd10,
0xc0edffb4,
0xb9301dff,
0x34f10234,
0x34b6ffff,
0x1045b610,
0xbb00c3bb,
0xe2ff01b4,
0x0234b930,
0xffff34f1,
0xb61034b6,
0xc3bb1045,
0x01b4bb00,
0xbb3012ff,
0x40fc00b3,
0x20fc30fc,
0x00f810fc,
/* 0x045c: host_send */
0x04b017f1,
0xcf0614b6,
0x27f10011,
0x24b604a0,
0x0022cf06,
0xf40612b8,
0x1ec4320b,
0x04ee9407,
0x0270e0b7,
0x9803eb98,
0xed9802ec,
0x00ee9801,
0x033621f5,
0xc40110b6,
0x07f10f1e,
0x04b604b0,
0x000ed006,
0x0ef404bd,
/* 0x04a5: host_send_done */
/* 0x04a7: host_recv */
0xf100f8ba,
0xf14e4917,
0xb8525413,
0x0bf406e1,
/* 0x04b5: host_recv_wait */
0xcc17f1aa,
0x0614b604,
0xf10011cf,
0xb604c827,
0x22cf0624,
0x0816f000,
0xf40612b8,
0x23c4e60b,
0x0434b607,
0x02f030b7,
0x80033b80,
0x3d80023c,
0x003e8001,
0xf00120b6,
0x07f10f24,
0x04b604c8,
0x0002d006,
0x27f004bd,
0x0007f040,
0xd00604b6,
0x04bd0002,
/* 0x050a: host_init */
0x17f100f8,
0x14b60080,
0x7015f110,
0xd007f102,
0x0604b604,
0xbd0001d0,
0x8017f104,
0x1014b600,
0x02f015f1,
0x04dc07f1,
0xd00604b6,
0x04bd0001,
0xf10117f0,
0xb604c407,
0x01d00604,
0xf804bd00,
/* 0x0549: memx_func_enter */
0x1087f100,
0x028eb916,
0xb90421f4,
0x67f102d7,
0x63f1fffc,
0x76fdffff,
0x0267f004,
0xf90576fd,
0xfc70f980,
0xf4e0fcd0,
0x67f04021,
0xe007f104,
0x0604b607,
0xbd0006d0,
/* 0x0581: memx_func_enter_wait */
0xc067f104,
0x0664b607,
0xf00066cf,
0x0bf40464,
0x2c67f0f3,
0xcf0664b6,
0x06800066,
/* 0x059f: memx_func_leave */
0xf000f8f1,
0x64b62c67,
0x0066cf06,
0xf0f20680,
0x07f10467,
0x04b607e4,
0x0006d006,
/* 0x05ba: memx_func_leave_wait */
0x67f104bd,
0x64b607c0,
0x0066cf06,
0xf40464f0,
0x87f1f31b,
0x8eb91610,
0x0421f402,
0xf102d7b9,
0xf1ffcc67,
0xfdffff63,
0x80f90476,
0xd0fc70f9,
0x21f4e0fc,
/* 0x05ef: memx_func_wait_vblank */
0x9800f840,
0x66b00016,
0x120bf400,
0xf40166b0,
0x0ef4060b,
/* 0x0601: memx_func_wait_vblank_head1 */
0x2077f02c,
/* 0x0607: memx_func_wait_vblank_head0 */
0xf0060ef4,
/* 0x060a: memx_func_wait_vblank_0 */
0x67f10877,
0x64b607c4,
0x0066cf06,
0xf40467fd,
/* 0x061a: memx_func_wait_vblank_1 */
0x67f1f31b,
0x64b607c4,
0x0066cf06,
0xf40467fd,
/* 0x062a: memx_func_wait_vblank_fini */
0x10b6f30b,
/* 0x062f: memx_func_wr32 */
0x9800f804,
0x15980016,
0x0810b601,
0x50f960f9,
0xe0fcd0fc,
0xb64021f4,
0x1bf40242,
/* 0x064b: memx_func_wait */
0xf000f8e9,
0x84b62c87,
0x0088cf06,
0x98001e98,
0x1c98011d,
0x031b9802,
0xf41010b6,
0x00f8a321,
/* 0x0668: memx_func_delay */
0xb6001e98,
0x21f40410,
/* 0x0673: memx_func_train */
0xf000f87e,
0x77f00357,
0x0097f100,
0x7093f000,
0xf4029eb9,
0xd8b90421,
0x10e7f102,
0x7e21f427,
/* 0x0690: memx_func_train_loop_outer */
0x010158e0,
0x020083f1,
0x11e097f1,
0xf91193f0,
0xfc80f990,
0xf4e0fcd0,
0x50f94021,
/* 0x06af: memx_func_train_loop_inner */
0xf10067f0,
0xff111187,
0x98949068,
0x0589fd10,
0x072097f1,
0xf91093f0,
0xfc80f990,
0xf4e0fcd0,
0x97f14021,
0x93f00080,
0x029eb910,
0xb90421f4,
0x88c502d8,
0xf990f920,
0xfcd0fc80,
0x4021f4e0,
0x053c97f1,
0xf11093f0,
0xf1300287,
0xf9800083,
0xfc80f990,
0xf4e0fcd0,
0xe7f14021,
0xe3f00560,
0x00d7f110,
0x00d3f100,
0x00dc9080,
0x8480b7f1,
0xf41eb3f0,
0x57f0a321,
0xff97f100,
0x0093f1ff,
/* 0x072d: memx_func_train_loop_4x */
0x80a7f183,
0x10a3f000,
0xf402aeb9,
0xd8b90421,
0xdfb7f102,
0xffb3f1ff,
0x048bfdff,
0x80f9a0f9,
0xe0fcd0fc,
0xf14021f4,
0xf0053ca7,
0x87f110a3,
0x83f13002,
0xa0f98000,
0xd0fc80f9,
0x21f4e0fc,
0x60e7f140,
0x10e3f005,
0x0000d7f1,
0x8000d3f1,
0xf102dcb9,
0xf02710b7,
0x21f400b3,
0x02eeb9a3,
0xb90421f4,
0x9dff02dd,
0x0150b694,
0xf4045670,
0x7aa0921e,
0xa9800bcc,
0x0160b600,
0x700470b6,
0x1ef51066,
0x50fcff01,
0x700150b6,
0x1ef50756,
0x00f8fed6,
/* 0x07c0: memx_exec */
0xd0f9e0f9,
0xb902c1b9,
/* 0x07ca: memx_exec_next */
0x139802b2,
0x0410b600,
0x01f034e7,
0x01e033e7,
0xf00132b6,
0x35980c30,
0xb855f9de,
0x1ef40612,
0xf10b98e4,
0xbbf20c98,
0xb7f102cb,
0xb4b607c4,
0x00bbcf06,
0xe0fcd0fc,
0x033621f5,
/* 0x0806: memx_info */
0xc67000f8,
0x0e0bf401,
/* 0x080c: memx_info_data */
0x03ccc7f1,
0x0800b7f1,
/* 0x0817: memx_info_train */
0xf10b0ef4,
0xf10bccc7,
/* 0x081f: memx_info_send */
0xf50100b7,
0xf8033621,
/* 0x0825: memx_recv */
0x01d6b000,
0xb0980bf4,
0x0bf400d6,
/* 0x0833: memx_init */
0xf800f8d8,
/* 0x0835: perf_recv */
/* 0x0837: perf_init */
0xf800f800,
/* 0x0839: i2c_drive_scl */
0x0036b000,
0xf1110bf4,
0xb607e007,
0x01d00604,
0xf804bd00,
/* 0x084d: i2c_drive_scl_lo */
0xe407f100,
0x0604b607,
0xbd0001d0,
/* 0x085b: i2c_drive_sda */
0xb000f804,
0x0bf40036,
0xe007f111,
0x0604b607,
0xbd0002d0,
/* 0x086f: i2c_drive_sda_lo */
0xf100f804,
0xb607e407,
0x02d00604,
0xf804bd00,
/* 0x087d: i2c_sense_scl */
0x0132f400,
0x07c437f1,
0xcf0634b6,
0x31fd0033,
0x060bf404,
/* 0x0893: i2c_sense_scl_done */
0xf80131f4,
/* 0x0895: i2c_sense_sda */
0x0132f400,
0x07c437f1,
0xcf0634b6,
0x32fd0033,
0x060bf404,
/* 0x08ab: i2c_sense_sda_done */
0xf80131f4,
/* 0x08ad: i2c_raise_scl */
0xf140f900,
0xf0089847,
0x21f50137,
/* 0x08ba: i2c_raise_scl_wait */
0xe7f10839,
0x21f403e8,
0x7d21f57e,
0x0901f408,
0xf40142b6,
/* 0x08ce: i2c_raise_scl_done */
0x40fcef1b,
/* 0x08d2: i2c_start */
0x21f500f8,
0x11f4087d,
0x9521f50d,
0x0611f408,
/* 0x08e3: i2c_start_rep */
0xf0300ef4,
0x21f50037,
0x37f00839,
0x5b21f501,
0x0076bb08,
0xf90465b6,
0x04659450,
0xbd0256bb,
0x0475fd50,
0x21f550fc,
0x64b608ad,
0x1f11f404,
/* 0x0910: i2c_start_send */
0xf50037f0,
0xf1085b21,
0xf41388e7,
0x37f07e21,
0x3921f500,
0x88e7f108,
0x7e21f413,
/* 0x092c: i2c_start_out */
/* 0x092e: i2c_stop */
0x37f000f8,
0x3921f500,
0x0037f008,
0x085b21f5,
0x03e8e7f1,
0xf07e21f4,
0x21f50137,
0xe7f10839,
0x21f41388,
0x0137f07e,
0x085b21f5,
0x1388e7f1,
0xf87e21f4,
/* 0x0961: i2c_bitw */
0x5b21f500,
0xe8e7f108,
0x7e21f403,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0xad21f550,
0x0464b608,
0xf11811f4,
0xf41388e7,
0x37f07e21,
0x3921f500,
0x88e7f108,
0x7e21f413,
/* 0x09a0: i2c_bitw_out */
/* 0x09a2: i2c_bitr */
0x37f000f8,
0x5b21f501,
0xe8e7f108,
0x7e21f403,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0xad21f550,
0x0464b608,
0xf51b11f4,
0xf0089521,
0x21f50037,
0xe7f10839,
0x21f41388,
0x013cf07e,
/* 0x09e7: i2c_bitr_done */
0xf80131f4,
/* 0x09e9: i2c_get_byte */
0x0057f000,
/* 0x09ef: i2c_get_byte_next */
0xb60847f0,
0x76bb0154,
0x0465b600,
0x659450f9,
0x0256bb04,
0x75fd50bd,
0xf550fc04,
0xb609a221,
0x11f40464,
0x0553fd2b,
0xf40142b6,
0x37f0d81b,
0x0076bb01,
0xf90465b6,
0x04659450,
0xbd0256bb,
0x0475fd50,
0x21f550fc,
0x64b60961,
/* 0x0a39: i2c_get_byte_done */
/* 0x0a3b: i2c_put_byte */
0xf000f804,
/* 0x0a3e: i2c_put_byte_next */
0x42b60847,
0x3854ff01,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0x6121f550,
0x0464b609,
0xb03411f4,
0x1bf40046,
0x0076bbd8,
0xf90465b6,
0x04659450,
0xbd0256bb,
0x0475fd50,
0x21f550fc,
0x64b609a2,
0x0f11f404,
0xb00076bb,
0x1bf40136,
0x0132f406,
/* 0x0a94: i2c_put_byte_done */
/* 0x0a96: i2c_addr */
0x76bb00f8,
0x0465b600,
0x659450f9,
0x0256bb04,
0x75fd50bd,
0xf550fc04,
0xb608d221,
0x11f40464,
0x2ec3e729,
0x0134b601,
0xbb0553fd,
0x65b60076,
0x9450f904,
0x56bb0465,
0xfd50bd02,
0x50fc0475,
0x0a3b21f5,
/* 0x0adb: i2c_addr_done */
0xf80464b6,
/* 0x0add: i2c_acquire_addr */
0xf8cec700,
0xb702e4b6,
0x980d1ce0,
0x00f800ee,
/* 0x0aec: i2c_acquire */
0x0add21f5,
0xf00421f4,
0x21f403d9,
/* 0x0afb: i2c_release */
0xf500f840,
0xf40add21,
0xdaf00421,
0x4021f403,
/* 0x0b0a: i2c_recv */
0x32f400f8,
0xf8c1c701,
0xb00214b6,
0x1ff52816,
0x13a0013a,
0x32980cf4,
0xcc13a000,
0x0031980c,
0xf90231f4,
0xf9e0f9d0,
0x0067f1d0,
0x0063f100,
0x01679210,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0xec21f550,
0x0464b60a,
0xd6b0d0fc,
0xb31bf500,
0x0057f000,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0x9621f550,
0x0464b60a,
0x00d011f5,
0xbbe0c5c7,
0x65b60076,
0x9450f904,
0x56bb0465,
0xfd50bd02,
0x50fc0475,
0x0a3b21f5,
0xf50464b6,
0xf000ad11,
0x76bb0157,
0x0465b600,
0x659450f9,
0x0256bb04,
0x75fd50bd,
0xf550fc04,
0xb60a9621,
0x11f50464,
0x76bb008a,
0x0465b600,
0x659450f9,
0x0256bb04,
0x75fd50bd,
0xf550fc04,
0xb609e921,
0x11f40464,
0xe05bcb6a,
0xb60076bb,
0x50f90465,
0xbb046594,
0x50bd0256,
0xfc0475fd,
0x2e21f550,
0x0464b609,
0xbd025bb9,
0x430ef474,
/* 0x0c10: i2c_recv_not_rd08 */
0xf401d6b0,
0x57f03d1b,
0x9621f500,
0x3311f40a,
0xf5e0c5c7,
0xf40a3b21,
0x57f02911,
0x9621f500,
0x1f11f40a,
0xf5e0b5c7,
0xf40a3b21,
0x21f51511,
0x74bd092e,
0xf408c5c7,
0x32f4091b,
0x030ef402,
/* 0x0c50: i2c_recv_not_wr08 */
/* 0x0c50: i2c_recv_done */
0xf5f8cec7,
0xfc0afb21,
0xf4d0fce0,
0x7cb90a12,
0x3621f502,
/* 0x0c65: i2c_recv_exit */
/* 0x0c67: i2c_init */
0xf800f803,
/* 0x0c69: test_recv */
0xd817f100,
0x0614b605,
0xb60011cf,
0x07f10110,
0x04b605d8,
0x0001d006,
0xe7f104bd,
0xe3f1d900,
0x21f5134f,
0x00f80256,
/* 0x0c90: test_init */
0x0800e7f1,
0x025621f5,
/* 0x0c9a: idle_recv */
0x00f800f8,
/* 0x0c9c: idle */
0xf10031f4,
0xb605d417,
0x11cf0614,
0x0110b600,
0x05d407f1,
0xd00604b6,
0x04bd0001,
/* 0x0cb8: idle_loop */
0xf45817f0,
/* 0x0cbe: idle_proc */
/* 0x0cbe: idle_proc_exec */
0x10f90232,
0xf5021eb9,
0xfc033f21,
0x0911f410,
0xf40231f4,
/* 0x0cd2: idle_proc_next */
0x10b6ef0e,
0x061fb858,
0xf4e61bf4,
0x28f4dd02,
0xbb0ef400,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
0x00000000,
};